{"id":710989,"date":"2022-04-28T12:00:00","date_gmt":"2022-04-28T10:00:00","guid":{"rendered":"https:\/\/www.tomshardware.fr\/?p=710989"},"modified":"2023-09-13T17:49:03","modified_gmt":"2023-09-13T15:49:03","slug":"tsmc-detaille-linterconnexion-ultrafusion-de-la-m1-ultra-dapple","status":"publish","type":"post","link":"https:\/\/www.tomshardware.fr\/tsmc-detaille-linterconnexion-ultrafusion-de-la-m1-ultra-dapple\/","title":{"rendered":"TSMC d\u00e9taille l’interconnexion UltraFusion de la M1 Ultra d’Apple"},"content":{"rendered":"\n

En mars, Apple a pr\u00e9sent\u00e9 sa M1 Ultra<\/a>, une puce qui combine deux M1 Max. L\u2019interconnexion UltraFusion permet une bande passante de 2,5 To\/s entre les deux puces. Pour y parvenir, TSMC, charg\u00e9 de fabriquer le processeur en 5 nm, ne mobilise pas sa technologie d\u2019empaquetage CoWoS-S (chip-on-wafer-on-substrate with silicon interposer<\/em>) mais InFO_LSI (integrated fan-out with local silicon interconnect<\/em>). La puce d’Apple est l\u2019une des premi\u00e8res \u00e0 en b\u00e9n\u00e9ficier.<\/p>\n\n\n

\n
\"Image<\/a><\/figure><\/div>\n\n
\n
\"Image<\/a>
Apple<\/figcaption><\/figure><\/div>\n\n\n

Le packaging CoWoS-S de TSMC est une technologie \u00e9prouv\u00e9e et utilis\u00e9e par un certain nombre de clients du fondeur. Ce n\u2019est pas le cas d\u2019InFO, en phase de test depuis plusieurs ann\u00e9es mais qui devait atteinte la phase de qualification au premier trimestre 2021. Quoi qu’il en soit, Tom Wassick, un professionnel de l’ing\u00e9nierie du conditionnement des semi-conducteurs, a r\u00e9v\u00e9l\u00e9 sur son compte Twitter que la M1 Ultra \u00e9tait bien con\u00e7ue \u00e0 partir de cette technologie. Il d\u00e9tient ces informations de TSMC, obtenues dans le cadre de l’International Symposium on 3D IC and Heterogeneous Integration<\/em>. Tom Wassick a aussi partag\u00e9 la diapositive ci-dessus.<\/p>\n\n\n\n

Apple et Intel, premiers \u00e0 adopter la gravure en 2 nm de TSMC<\/a><\/strong><\/p>\n\n\n\n

Une solution moins on\u00e9reuse<\/h2>\n\n\n\n

Concr\u00e8tement, la technologie InFO-LSI associe une interconnexion locale en silicium (LSI) et une couche de redistribution (RDL). Le principal avantage d\u2019InFO-LSI par rapport \u00e0 CoWoS-S est son co\u00fbt moindre. Comme l’explique notre de Tom’s Hardware US, CoWos-S n\u00e9cessite l’emploi d’interposeurs massifs enti\u00e8rement en silicium et donc assez on\u00e9reux ; InFO_LI se contente d\u2019interconnexions en silicium localis\u00e9es. Le recours \u00e0 la technologie CoWoS-S est justifi\u00e9e pour certains produits n\u00e9cessitant d\u2019interconnecter de nombreuses puces, souvent avec de la m\u00e9moire HBM. Or, ce n\u2019est pas le cas pour la M1 Ultra, qui combine “seulement” deux puces M1 Max, qui plus est sans m\u00e9moire HBM.<\/p>\n\n\n\n

\n
\n
\"Image<\/a><\/figure><\/div><\/div>\n\n\n\n
\n
\"Image<\/a><\/figure><\/div><\/div>\n<\/div>\n\n\n\n

La GeForce RTX 3090 de NVIDIA lamine la M1 Ultra d\u2019Apple<\/a><\/strong><\/p>\n\n\n\n

\n

TSMC showed a chart at tonight's International Symposium on 3D IC and Heterogeneous Integration that the M1 Ultra is packaged using the Info_LI technology: pic.twitter.com\/FfBDrWn1By<\/a><\/p>— Tom Wassick (@wassickt) April 26, 2022<\/a><\/blockquote>